Networks Business Online Việt Nam & International VH2

Khái quát quy trình sản xuất một Chip thông dụng

Đăng ngày 23 March, 2023 bởi admin
Các con Chip lúc bấy giờ đang sống sót ở trong hầu hết những đồ vật điện tử, nhận thấy vai trò góp phần to lớn của Chip do đó trên quốc tế ngành công nghiệp để sản xuất ra những con chip hay những vi mạch tich hợp này hiện đang là một trong những nghành mới lạ và hứa hẹn nhiều tiềm năng. Vậy quy trình và những yên cầu về mặt kĩ thuật cũng như giá tiền để sản xuất một con Chip như thế nào ?

Chúng ta cùng điểm qua một số ít bước trong quy trình sản xuất ra một con Chip dưới đây .

1. System design

Phần phong cách thiết kế này đặc biệt quan trọng quan trọng, người phong cách thiết kế thường là trưởng dự án Bất Động Sản. Người phong cách thiết kế phải lý giải 100 % mạng lưới hệ thống sắp phong cách thiết kế. Người phong cách thiết kế cần phải hiểu rõ nguyên tắc hoạt động giải trí của hàng loạt mạng lưới hệ thống, những đặc thù về công nghệ tiên tiến, vận tốc giải quyết và xử lý, mức tiêu thụ nguồn năng lượng, cách sắp xếp những Pins, những lược đồ khối, những điều kiện kèm theo vật lý như size, nhiệt độ, điện áp …
Tất cả những bước phong cách thiết kế trong System Design đều được diễn ra mà không có sự tương hỗ đặc biệt quan trọng nào từ những công cụ chuyên được dùng. Sau khi có bản thiết kế ( nhu yếu ) mạng lưới hệ thống, trưởng dự án Bất Động Sản sẽ chia nhỏ việc làm ra cho từng đội phong cách thiết kế. Mỗi đội sẽ tiếp đón một bộ phận nào đó trong mạng lưới hệ thống, ví dụ đội CPU, đội Bus, đội Peripheral, đội ứng dụng, đội test …

2. Function design

Phần này là bước sau đó của System Design, ví dụ cho đội CPU. Team Leader sẽ là người quyết định hành động SPEC ( Standard Performance Evaluation Corporation ). Chi tiết của CPU dựa trên nhu yếu mạng lưới hệ thống từ trưởng dự án Bất Động Sản. Các cuộc Design review sẽ diễn ra hàng tuần giữa những Tearm Leaders và trưởng dự án Bất Động Sản. Sau nhiều review, bàn luận như vậy, một bản spec khá cụ thể cho CPU sẽ được hoàn thành xong dưới dạng document ( word, pdf ) với hàng trăm lược đồ khối ( block diagram ), biểu đồ thời hạn ( timing char ), những loại bảng biểu .

Team Leader chịu nghĩa vụ và trách nhiệm chia nhỏ việc làm cho từng thành viên trong đội. Ví dụ một người tiếp đón phần ALU, một người đảm nhiệm phần Decoder … Tới lượt mình thành viên sẽ sử dụng những ngôn từ phong cách thiết kế phần cứng ( Verilog-HDL, VHDL.System – C … ) để hiện thực hóa những tính năng logic. Người ta gọi mức phong cách thiết kế này là phong cách thiết kế mức RTL ( Register Transfer Level ). Thiết kế mức RTL nghĩa là không cần chăm sóc đến cấu trúc chi tiết cụ thể của mạch điện mà chỉ chú trọng vào công dụng của mạch dựa trên tác dụng thống kê giám sát cũng như sự luân chuyền tài liệu giữa những register ( Flip-Flop ) .
Ví dụ một đoạn code Verilog miêu tả một bộ lựa chọn 2 bit :

/* 2-1 SELECTOR */
module SEL ( A, B, SEL, OUT );
input A, B, SEL;
output OUT;
assign OUT = SEL2_1_FUNC ( A, B, SEL );
function SEL2_1_FUNC;
input A, B, SEL;
if ( SEL == 0 )
SEL2_1_FUNC = A;
else
SEL2_1_FUNC = B;
endfunction
endmodule

Thông thường những file Text như trên được gọi là những fie RTL ( Resister Transfer Lervel ) trong trường hợp viết bằng ngôn từ Verilog hoặc VHDL. Để kiểm tra tính đúng đắn của mạch điện, người ta dùng một công cụ mô phỏng ví dụ như NC-Verilog ( Native Code Verilog ) hay NC-VHDL của hãng Candence, ModelSim của hãng Mentor Graphics. Quá trình debug sẽ được lặp đo lặp lại trên máy tính cho tới khi phong cách thiết kế thõa mãn nhu yếu từ Team Leader. Thành quả của thành viên là những file RTL. Team Leader sẽ tổng hợp những file RTL từ những thành viên, ghép những module với nhau thành một module lớn hơn, đó chính là RTL cho cả CPU.
Tới lượt mình Team Leader sẽ dùng Simulater để mô phỏng và kiểm tra tính đúng đắn của CPU, nếu có yếu tố gì thì sẽ Feedback lại cho thành viên nhu yếu họ sửa chữa thay thế. Sau khi đã được Test cẩn trọng, hàng loạt cấu trúc RTL trên sẽ được nộp cho trưởng dự án Bất Động Sản .
Tương tự so với những module khác : bus, peripherals, … Các module trên lại được liên tục ghép với nhau để cấu thành nên một SoC hoàn hảo, gồm có : CPU, system bus, peripherals … SoC này là thành quả của phần Function design .

3. Synthesic-place-router

Đây là bước chuyển những RTLs đã phong cách thiết kế ở phần 2 xuống mức phong cách thiết kế thấp hơn. Các công dụng mức trừu tượng cao ( RTL ) sẽ được hoán đổi thành những quan hệ logic ( NOT, NAND, NOR, MUX, … ). Các Tool chuyên được dùng sẽ triển khai trách nhiệm này, ví dụ như Design Compiler của hãng Synopsys, Synplify của hãng Synplicity, XST của hãng Xilinx …. Kết quả hoán đổi sẽ khác nhau tùy theo Synthesis Tool và thư viện. Thư viện ở đây là bộ những ” linh phụ kiện ” và ” macro ” – được phân phối bởi những đơn vị sản xuất bán dẫn .
Ví dụ hãng NEC có một thư viện riêng, hãng SONY có một thư viện riêng, hãng Xilinx cũng có thư viện của riêng mình. Việc chọn thư viện nào phụ thuộc vào vào việc hãng nào sẽ sản xuất chip sau này. Ví dụ SoC lần này sẽ mang đi nhờ TSMC ( Taiwan Semiconductor Manufacturing Company ) của Đài Loan sản xuất, vậy sẽ chọn thư viện của TSMC .
Kết quả của bước Synthesis này là những ” net-list ” cấu trúc theo một tiêu chuẩn nào đó, thường là EDIF ( Electronic Degisn Interchance Format ). Nest-list ghi lại sự triển khai xong phong cách thiết kế SoC ở mức độ “ thượng lưu ” .

4. Layout design

Phần này là khởi đầu cho phong cách thiết kế mức ” hạ lưu “, thường được đảm nhiệm bởi chuyên viên trong những hãng sản xuất bán dẫn. Họ sử dụng những công cụ CAD để chuyển net-list sang kiểu data cho layout. Netlist sẽ trở thành bản vẽ cách sắp xếp những transistor, capacitor, resistor, … Ở đây phải tuân thủ khắt khe một thứ gọi là Design Rule .
Ví dụ chip dùng công nghệ tiên tiến 65 nm thì phải dùng những kích cỡ là bội số của 65 nm …

5. Mask pattern design

Bước sau đó của layout design là Mask Pattern. Phần này thực ra giống hệt với artwork trong phong cách thiết kế bản in. Các bộ Mask ( cho những bước sản xuất khác nhau ) sẽ được tạo ra dưới dạng data đặc biệt quan trọng. Mask data sẽ được gửi tới những đơn vị sản xuất Mask để nhận về một bộ Mask sắt kẽm kim loại ship hàng cho việc làm sản xuất tiếp theo .

6. Sản xuất mask

Có thể xem Mask là cái khuôn để đúc vi mạch lên tấm Silicon. Công nghệ sản xuất Mask hiện đại chủ yếu dùng tia điện tử (EB – Electron Beam). Các điện tử với năng lượng lớn
(vài chục keV) sẽ được vuốt thành chùm và được chiếu vào lớp film Crom đổ trên bề mặt tấm thủy tinh. Phần Cr không bị che bởi Mask (artwork) sẽ bị phá hủy, kết quả là phần Cr không bị chùm electron chiếu vào sẽ trở thành mask thực sự.

Một chip cần khoảng chừng 20 tới 30 masks. Giá thành những tấm Mask này cực đắt, cỡ vài triệu USD .

7. Chuẩn bị wafer

Đây là bước tinh chế cát ( SiO2 ) thành Silic nguyên chất ( 99.999999999 % ). Silic nguyên chất sẽ được pha thêm tạp chất là những nguyên tố nhóm 3 hoặc nhóm 5. Ví dụ pha B sẽ được wafer loại p, pha P sẽ ra wafer loại n. Silicon sẽ được cắt thành những tấm tròn đường kính 200 mm hoặc 300 mm với bề dày cỡ 750 um. Có những công ty chuyên sản xuất silicon wafer. Chẳng hạn Shin’Etsu là công ty cung ứng khoảng chừng 40 % silicon wafer cho thị trường bán dẫn Nhật Bản. Giá một tấm wafer 200 mm khoảng chừng 20 USD.

8. Các quá trình xử lý wafer

Tất cả được thực hiện trong môi trường siêu sạch (ultra clean room). Sau đây là một số processes trong clean room:
* Rửa (wet process): đây là bước làm sạch wafer bằng các dung dịch hóa học. Ví dụ APM (hỗn hợp NH4OH/H2O2/H2O) dùng để làm sạch các particle như bụi trong không khí, bụi từ người bay ra; HPM (hỗn hợp HCl/H2O2/H2O) dùng làm sạch các tạp chất và kim loại hiếm (Cu, Au, Pt…); HPM (hỗn hợp H2SO4/H2O2) làm sạch các tạp chất hữu cơ (resist) và kim loại (Ze, Fe…); DHF (axit HF loãng) dùng để loại bỏ các phần SiO2 không cần thiết.

* Ô-xi hóa ( Oxidation ) : tạo SiO2 trên mặt phẳng wafer trong đó lớp SiO2 mỏng mảnh cỡ 1 tới 2 nanomet sẽ trở thành gate của transistor .
* CVD ( Chemical Vapor Deposition ) : tạo những lớp film mỏng mảnh trên mặt phẳng wafer bằng phương pháp hóa học ( SiO2, Si3N4. Poly-Si, WSi2 ). Ví dụ hoàn toàn có thể dùng CVD ở áp suất thấp trong môi trường tự nhiên SiH4 và H2 để tạo ra lớp poly-Si ( Si đa tinh thể ) để làm điện cực cho transistor .
* Cấy Ion ( Ion implantation ) : Sử dụng những nguồn ion nguồn năng lượng cao ( vài chục tới vài trăm keV, nồng độ cỡ 2E-15 cm-3 ) bắn trực tiếp lên mặt phẳng Si nhằm mục đích đổi khác nồng độ tạp chất trong Si. Ví dụ bắn những ion As để tạo ra vùng n + để làm source và drain cho MOSFET.
* Cắt ( etching ) : vô hiệu những phần SiO2 không thiết yếu. Có hai loại : wet-etching dùng axit HF loãng để hòa tan SiO2 ; dry-etching dùng plasma để cắt SiO2 khỏi mặt phẳng Si .

* Photolithography: phương pháp xử lý quang học để transfer mask pattern lên bề mặt wafer. Wafer sẽ được phết một lớp dung dịch gọi là resist, độ dày của lớp này khoảng 0.5um. Ánh sáng sẽ được chiếu lên mask, phần ánh sáng đi qua sẽ làm mềm resist. Sau khi rửa bằng dung dịch đặc biệt (giống tráng ảnh), phần resist không bị ánh sáng chiếu vào sẽ tồn tại trên wafer như là mask. (trong trường hợp này resist là loại positive).
* Sputtering: Là phương pháp phủ các nguyên tử kim loại (Al, Cu) lên bề mặt wafer. Ion Ar+ với năng lượng khoảng 1 keV trong môi trường plasma sẽ bắn phá các target kim loại (Al, W, Cu), các nguyên tử kim loại sẽ bật ra bám lên bề mặt wafer. Phần bị phủ sẽ trở thành dây dẫn nối các transistor với nhau.
* Annealing: Xử lý nhiệt giúp cho các liên kết chưa hoàn chỉnh của Si (bị damaged bởi ion implantation etc.) sẽ tạo liên kết với H+. Việc này có tác dụng làm giảm các trap năng lượng tại bề mặt Si và SiO2.
* CMP (Chemical Mechanical Polishing): Làm phẳng bề mặt bằng phương pháp cơ-hóa. Đây là kỹ thuật mới được áp dụng vào semiconductor process. Có tác dụng hỗ trợ thêm cho các xử lý như photolithography, etching etc.

9. Kiểm tra – Đóng gói – Xuất xưởng

Các giải quyết và xử lý ở phần 3 sẽ được lặp đi lặp lại nhiều lần tùy thuộc vào mức độ phức tạp của chip. Cuối cùng chip sẽ được cắt rời ( một tấm wafer 300 mm hoàn toàn có thể tạo được khoảng chừng 90 con chip Pentium IV ). Một loạt những giải quyết và xử lý khác như back grinding ( mài mỏng mảnh phần mặt dưới của chip ), bonding ( nối ra những pins, dùng chì mạ vàng hoặc đồng ), mold ( phủ lớp cách điện ), marking ( ghi tên hãng sản xuất etc. )

Theo Nguyễn Đức Kính / nhatban.net

Source: https://vh2.com.vn
Category : Công Nghệ